Sunday, December 12, 2021

AWS attributes outage to surge from automated scaling of internal network

At 7:30 AM PST on December 7th, 2021, an automated activity at AWS Northern Virginia (US-EAST-1) Region that is used to scale capacity of services hosted in the main AWS network triggered an unexpected behavior from a large number of clients inside the internal network. The unexpected behavior resulted in a large surge of connection activity that overwhelmed the networking devices between the internal network and the main AWS network, resulting in delays for communication between these networks. These delays increased latency and errors for services communicating between these networks, resulting in even more connection attempts and retries. This led to persistent congestion and performance issues on the devices connecting the two networks." The traffic surge impacted the control planes that are used for creating and managing AWS resources.  In particular, API Gateway servers were impacted by their inability to communicate with the internal network during the early part of this event. As a result of these errors, many API Gateway servers eventually got into a state where they needed to be replaced in order to serve requests successfully. 

In a blog posting, Amazon Web Services (AWS) apologized for the incident and said it has already taken several actions to prevent a recurrence of this event, including disabling of the automated scaling process until a remediation method is deployed. 


https://aws.amazon.com/message/12721/

Intel cites advancements in packaging, transistors, quantum physics

Intel outlined its path toward more than 10x interconnect density improvement in packaging with hybrid bonding, 30% to 50% area improvement in transistor scaling, major breakthroughs in new power and memory technologies, and new concepts in physics that may one day revolutionize computing. The announcement was made at this week's IEEE International Electron Devices Meeting (IEDM) 2021 in San Francisco.

Some Intel research highlights:


  • Earlier this year, Intel announced plans for Foveros Direct, which will use sub-10-micron bump pitches to provide an order of magnitude increase in the interconnect density for 3D stacking. 
  • Intel is calling for the establishment of new industry standards and testing procedures to enable a hybrid bonding chiplet ecosystem.
  • Intel is mastering an approach to stacking multiple (CMOS) transistors that aims to achieve a maximized 30% to 50% logic scaling improvement for the continued advancement of Moore’s Law by fitting more transistors per square millimeter.
  • Intel is researching novel materials just a few atoms thick can be used to make transistors that overcome the limitations of conventional silicon channels, potentially enabling millions more transistors per die area.
  • Intel is developing the world’s first integration of GaN-based power switches with silicon-based CMOS on a 300 mm wafer. This sets the stage for low-loss, high-speed power delivery to CPUs while simultaneously reducing motherboard components and space.
  • Intel is exploring novel ferroelectric materials for possible next-generation embedded DRAM technology that can deliver much low-latency read/write capabilities.
  • Intel has demonstrated the world’s first experimental realization of a magnetoelectric spin-orbit (MESO) logic device at room temperature, which showed the potential manufacturability for a new type of transistor based on switching nanoscale magnets.
  • Intel and IMEC are making progress with spintronic materials research to take device integration research close to realizing a fully functional spin-torque device.
  • Intel also showcased full 300 mm qubit process flows for the realization of scalable quantum computing that is compatible with CMOS manufacturing and identifies next steps for future research.

https://www.intel.com/content/www/us/en/newsroom/news/intel-components-research-looks-beyond-2025.html

https://www.ieee-iedm.org

Intel unveils RibbonFET transistor architecture 

Intel unveiled RibbonFET, its first new transistor architecture in more than a decade, and PowerVia, a new backside power delivery method. 

In a webcast presentation highlighting its process and packaging technology roadmaps through 2025, Intel vowed a swift adoption of next-generation extreme ultraviolet lithography (EUV), referred to as High Numerical Aperture (High NA) EUV. The company said it is on-track to received the first High NA EUV production tool in the industry.

Intel's roadmap, with new node names, includes:

  • Intel 7 delivers an approximately 10% to 15% performance-per-watt increase versus Intel 10nm SuperFin, based on FinFET transistor optimizations. Intel 7 will be featured in products such as Alder Lake for client in 2021 and Sapphire Rapids for the data center, which is expected to be in production in the first quarter of 2022.
  • Intel 4 fully embraces EUV lithography to print incredibly small features using ultra-short wavelength light. With an approximately 20% performance-per-watt increase, along with area improvements, Intel 4 will be ready for production in the second half of 2022 for products shipping in 2023, including Meteor Lake for client and Granite Rapids for the data center.
  • Intel 3 leverages further FinFET optimizations and increased EUV to deliver an approximately 18% performance-per-watt increase over Intel 4, along with additional area improvements. Intel 3 will be ready to begin manufacturing products in the second half of 2023.
  • Intel 20A ushers in the angstrom era with two breakthrough technologies, RibbonFET and PowerVia. RibbonFET, Intel’s implementation of a gate-all-around transistor, will be the company’s first new transistor architecture since it pioneered FinFET in 2011. The technology delivers faster transistor switching speeds while achieving the same drive current as multiple fins in a smaller footprint. PowerVia is Intel’s unique industry-first implementation of backside power delivery, optimizing signal transmission by eliminating the need for power routing on the front side of the wafer. Intel 20A is expected to ramp in 2024. The company is also excited about the opportunity to partner with Qualcomm using its Intel 20A process technology.
  • 2025 and Beyond: Beyond Intel 20A, Intel 18A is already in development for early 2025 with refinements to RibbonFET that will deliver another major jump in transistor performance. Intel is also working to define, build and deploy next-generation High NA EUV, and expects to receive the first production tool in the industry. Intel is partnering closely with ASML to assure the success of this industry breakthrough beyond the current generation of EUV.

Regarding its packaging innovations, Intel provided the following updates:

  • Sapphire Rapids will be the first Intel Xeon data center product to ship in volume with EMIB (embedded multi-die interconnect bridge). It will also be the first dual-reticle-sized device in the industry, delivering nearly the same performance as a monolithic design. Beyond Sapphire Rapids, the next generation of EMIB will move from a 55-micron bump pitch to 45 microns.
  • Foveros leverages wafer-level packaging capabilities to provide a first-of-its-kind 3D stacking solution. Meteor Lake will be the second-generation implementation of Foveros in a client product and features a bump pitch of 36 microns, tiles spanning multiple technology nodes and a thermal design power range from 5 to 125W.
  • Foveros Omni ushers in the next generation of Foveros technology by providing unbounded flexibility with performance 3D stacking technology for die-to-die interconnect and modular designs. Foveros Omni allows die disaggregation, mixing multiple top die tiles with multiple base tiles across mixed fab nodes and is expected to be ready for volume manufacturing in 2023.
  • Foveros Direct moves to direct copper-to-copper bonding for low-resistance interconnects and blurs the boundary between where the wafer ends and where the package begins. Foveros Direct enables sub-10-micron bump pitches, providing an order of magnitude increase in the interconnect density for 3D stacking, opening new concepts for functional die partitioning that were previously unachievable. Foveros Direct is complementary to Foveros Omni and is also expected to be ready in 2023.

https://www.intc.com/news-events/press-releases/detail/1486/intel-accelerates-process-and-packaging-innovations


QSAFE delivers blueprint for European Quantum Infrastructure

Earlier this year, the European Commission has selected the “QSAFE” consortium, led by Deutsche Telekom and including Thales, Thales Alenia Space, Telefónica, and the Austrian Institute of Technology, to design the European Quantum Communication Infrastructure (EuroQCI).

QSAFE has now delivered the initial technical design, security analyses, and initial network dimensioning for the EuroQCI network. 

The study, conducted over fifteen months, follows the ‘security-by-design’ approach and elaborates the basis for the deployment of a European quantum infrastructure considering fibre-based terrestrial as well as satellite-based components. It is the follow-up of a first feasibility phase conducted in 2020, with the same consortium led by Thales at that time.

The study is part of the European Union’s efforts to advance the development of independent and secure quantum communication technologies. 

At the end of July 2021, Ireland was the last of the 27 EU Member States to sign the EuroQCI declaration, which is a commitment that the Member States, the European Commission and the European Space Agency together plan to jointly deploy a secure quantum communication infrastructure.

https://www.telekom.com/en/media/media-information/archive/deutsche-telekom-partners-quantum-communication-infrastructure-642332

Video: Radio Access Networks will Never be the Same

https://youtu.be/Pbi0zG7hGPw


As networks become more virtualized, both at the far edge and near edge, Open RAN is drawing more interest and participation from hyperscalers. In this video, Raj Singh, EVP Processor Business Group at Marvell, discusses the opportunities Open RAN offers networks and the emerging trends in the market.

Download the 2021 Open RAN Report here: https://ngi.how/o-ran-2021

Aryaka FlexCore offers “all-in-one” SD-WAN and SASE on L2 or L3

Aryaka announced “all-in-one” SD-WAN and secure access service edge (SASE) offerings for multiple enterprise segments to accelerate cloud adoption and increase business agility.

 Essentially, Aryaka is introducing a global L3 private core to supplement its global L2 private core, all interconnected to the company’s nearly 40 high-performance points of presence (POPs). The Aryaka FlexCore technology allows customers to map their sites to the private core of choice, based on performance or cost considerations, as well as criticality of sites and applications. Using FlexCore as its underlying architecture, Aryaka today also introduced the “EZ” and “Pro” product lines for its new managed SASE and expanded SD-WAN offerings:

  • Aryaka Prime EZ: New managed SASE offering delivered via Aryaka’s cloud-first architecture, initially over the L3 private core. Built with the acquisition of Secucloud, and tailored for small to medium enterprises, the initial capabilities include secure web gateway, firewall as-a-service and web filtering capabilities, all delivered and managed via Aryaka POPs
  • Aryaka SmartConnect Pro: Managed SD-WAN optimized for performance, uses the L2 private core and easy consumption model, geared for mid-to-large enterprises that require high performance networking and global application predictability
  • Aryaka SmartConnect EZ: New managed SD-WAN optimized for cost, uses the L3 private core and easy consumption model, geared for small-to-medium enterprises that are looking for something better than the managed SD-WAN and internet they get from traditional telcos at attractive cost points and the ability to move to the SmartConnect Pro or Prime EZ offering in a graceful manner

Aryaka is also simplifying its pricing, packaging, quoting and deployment of SD-WAN and SASE architectures.  The company will offer “T-shirt” sized pricing models (S,M,L,XL), and the company has standardized the five most requested service tiers globally. 

“CEOs and business leaders rate growth as their highest priority but have to contend with unpredictability and change particularly in today’s environment,” said Matt Carter, CEO of Aryaka. “They are increasingly leaning on ‘cloud-first’ technology to help navigate change and fuel their transformation initiatives. In this scenario, old school telco managed solutions and legacy stacks like MPLS don’t cut it. They are not nimble enough for the new world, and the quality of experience they deliver is poor. This is where Aryaka steps in to deliver something refreshingly different.”

Aryaka SmartConnect EZ and SmartConnect Pro will be generally available in late Q1 CY 2022. Aryaka Prime EZ is available for qualified Beta deployments starting January 2022, with early orderability targeted for April CY 2022.

https://www.aryaka.com/press/aryaka-announces-all-in-one-sd-wan-and-sase/

Aryaka acquires Secucloud GmbH for SASE platform

Aryaka has acquired Secucloud GmbH, a SASE platform provider based in Hamburg, Germany.Secucloud'a platform offers an all-in-one, global, cloud-based firewall-as-a-service, secure web gateway with advanced threat protection capabilities. The platform is engineered to connect all edges including WAN, Cloud, Mobile and IoT securely together.Aryaka said the acquisition complements its existing network security solutions that are provided with other...


Padtec teams up with Airspan and Trópico on 5G Open RAN

Padtec has formed a partnership with Trópico and Airspan Networks to offer 5G network solutions in several spectrum bands, including 700 MHz, 2.3 GHz, 3.5 GHz and 26 GHz.

Padtec said the recent successful auction of 5G spectrum in Brazil will spur a wave of investments in telecom infrastructure to support the next-generation of high-bandwidth applications and services driven by 5G networks. The goal of the three companies is to collaborate to provide end-to-end hardware and software solutions to established telecom operators as well new entrants, providing the tools to support the increasing demand for data traffic on their networks which will result from the widespread adoption of 5G.

“As 5G is deployed and wireless data traffic carried by Airspan’s RAN platform grows exponentially at the edge of the networks, the need for a transport network that can scale and be flexible becomes critical. This strategic collaboration with companies like Padtec and Trópico which have deep market knowledge and are leaders in their fields, along with Airspan’s innovation and expertise, will help accelerate adoption of 5G public and private network solutions”, said Richard Vincent, Airspan SVP of Sales for the Americas.

“The race to deploy 5G in Brazil is on and we are confident that the combination of Airspan extensive RAN portfolio, with Tropico’s in depth expertise in the wireless field in Brazil will complement our capabilities in transport and aggregation of high capacity traffic and enable our alliance to deliver an integrated 5G solution to our customers on the timing they demand”, said Argemiro Sousa, Padtec’s Business Director.

“For us, the partnership with Padtec and Airspan accelerates our plan to offer solutions on connectivity and applications for 5G services”, said Paulo Cabestré, Trópico’s CEO. “As the three companies are leading innovators in our respective spaces with strong customer bases for these portfolios, this powerful collaboration leverages our collective leadership to create good solution for 5G networks”, he added.

https://www.padtec.com.br/en/padtec-airspan-networks-and-tropico-team-up-to-offer-innovative-5g-open-ran-public-and-private-network-solutions/

Colt looks to City Fibre to expand its UK metro networks

Colt Technology Services is extending the reach of its UK metro network through a partnership with City Fibre.  The arrangment enables Colt to connect commercial buildings as on net locations in eight regional cities across the UK; Leeds, Bristol, Slough, Bracknell, Reading, Milton Keynes, Edinburgh and Glasgow. The deal also establishes Edinburgh and Glasgow as the 53rd and 54th Colt Metro Cities.

The intelligent Colt IQ Network comprises of 29,000 on net buildings and over 900 data centres across Europe, Asia and North America’s largest business hubs.

Robin Farnan, Colt’s EVP Operations and Engineering, said: “As a company, we are focused on improving both our reach and costs across the UK. Working with CityFibre to enhance our metro offering, customers can now connect from a building in Edinburgh or Glasgow to New York or Tokyo, entirely on Colt’s IQ Network, drawing on our world class on demand capability. Being able to deliver that kind of global service really puts us in a very strong position to help customers work and grow internationally.”

Andrew Wilson, Head of Wholesale at CityFibre, said: “With CityFibre’s Full Fibre network rapidly scaling to reach 8 million premises by 2025, our city networks unlock the potential for Colt to create its own differentiated value and a world-class network experience. The opportunity for customers within the UK, and Edinburgh and Glasgow particularly, is exciting and we’re thrilled to be working with Colt on driving this global ambition for the UK.”

https://www.colt.net/resources/colt-technology-services-expands-its-national-uk-network-reach/