Wednesday, October 6, 2021

Cadence debuts 3D-IC for multi-chiplet and advanced packaging

Cadence Design Systems released its Integrity 3D-IC platform integrating 3D chip design planning, implementation and system analysis.

Cadence says its platform provides system planning, integrated electrothermal, static timing analysis (STA) and physical verification flows, enabling faster, high-quality 3D design closure. It also incorporates 3D exploration flows, which take 2D design netlists to create multiple 3D stacking scenarios based on user input, automatically selecting the optimal, final 3D stacked configuration. 

Features and benefits:

  • Common cockpit and database: Lets SoC and package design teams co-optimize the system concurrently, allowing system-level feedback to be incorporated efficiently.
  • Complete planning system: Incorporates a 3D-IC stack planning system for all types of 3D designs, enabling customers to manage and implement native 3D stacking.
  • Seamless implementation tool integration: Provides ease of use through direct script-based integration with the Cadence Innovus Implementation System for high-capacity digital designs with 3D die partitioning, optimization and timing flows.
  • Integrated system-level analysis capabilities: Enables 3D-IC design through early electrothermal and cross-die STA, which allows early system-level feedback for system-driven PPA.
  • Co-design with the Virtuoso Design Environment and Allegro packaging technologies: Allows engineers to seamlessly move design data from Cadence analog and packaging environments to different parts of the system through the hierarchical database, enabling faster design closure and improved productivity.

“Cadence has historically offered customers strong 3D-IC packaging solutions through its leading digital, analog and package implementation product lines,” said Dr. Chin-Chi Teng, senior vice president and general manager in the Digital & Signoff Group at Cadence. “With recent developments in advanced packaging technologies, we saw a need to further build upon our successful 3D-IC foundation, providing a more tightly integrated platform that ties our implementation technology with system-level planning and analysis. As the industry continues to move toward different configurations of 3D stacked dies, the new Integrity 3D-IC platform lets customers achieve system-driven PPA, reduced design complexity and faster time to market.”

http://www.cadence.com/go/3DIC